CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 分频

搜索资源列表

  1. 3fp

    0下载:
  2. 奇数分频和倍频(只需修改参数就可以实现较难得基数分频和倍频)-Odd frequency and frequency-doubling (just modify the parameters can be achieved relatively rare sub-base frequency and octave)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169922
    • 提供者:wk
  1. fen1to7

    0下载:
  2. 这是我在ISP编程实验中独立编写的一个采用行为描述方式实现的分频器,通过两个并行进程对输入信号CLK进行8分频,占空比为1:7-This is my ISP programming experiment in the preparation of an independent descr iption of the use of behavior to achieve the prescaler, through two parallel processes on the input signa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:27848
    • 提供者:daisichong
  1. fenping

    0下载:
  2. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2333
    • 提供者:wuzhenguo
  1. divider

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12621
    • 提供者:PoLo
  1. CLK_DIV

    0下载:
  2. 爱用硬件描述语言VHDL实现输入时钟10分频输出-divide CLOCK by 10 using VHDL
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-14
    • 文件大小:3144
    • 提供者:陈绪文
  1. hz

    0下载:
  2. 万能频率器,可以修改其中的参数,可是实现任意的分频!很方便!-Universal frequency, you can modify one of the parameters, but any implementation of the sub-band! Very convenient!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:533
    • 提供者:liyanjun
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a four through the results of th
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:151884
    • 提供者:evelyn
  1. EDAkechengsheji

    0下载:
  2. 实现6位频率计,防止数据溢出,并对频率进行三分频-Frequency to achieve 6 to prevent data overflow, and one-third of the frequency band
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:208061
    • 提供者:fengin
  1. VHDL

    0下载:
  2. 多路分频及周期检测 端口映射示例程序-descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:10898
    • 提供者:xuechi
  1. clk_div

    0下载:
  2. VHDL语言描述,时钟分频,给定CPLD试验板系统时钟设置50M,但由于本作品的需要,我们将系统时钟经过20分频得到DS18B20所需的工作时钟,大约为1.25M。-VHDL language descr iption, the clock frequency, a given CPLD experiment board system clock set 50M, but as a result of this work, we will be the system clock frequenc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:161946
    • 提供者:shenqin
  1. times

    0下载:
  2. 计数器,用VHDL实现,先6分频,再10分频,24分频,同时可做万年历-Counter, using VHDL realization frequency first 6 hours, 10 minutes and then the frequency, frequency of 24 minutes, at the same time to do calendar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4027
    • 提供者:孔莉
  1. VHDL

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2970
    • 提供者:欧彤
  1. serialcomvhdl

    0下载:
  2. 一个串行通信的例子,用vhdl实现。包括发送接收,分频等多个模块-Example of a serial communication with the realization of vhdl. Including the transmission of the reception, a number of modules, such as Frequency Division
  3. 所属分类:Com Port

    • 发布日期:2017-04-06
    • 文件大小:17338
    • 提供者:杨怿菲
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. clock

    0下载:
  2. 由锁相环(PLL)产生所需的2分频与4分频时钟8分频时钟 clk.qpf为可执行主程序 -By the phase-locked loop (PLL) have the necessary 2-and 4-frequency clock frequency of 8 minutes for Executable clk.qpf main clock
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-03
    • 文件大小:201524
    • 提供者:郭思铁
  1. VHDL

    0下载:
  2. 基于vhdl数控分频器的设计与应用,少有的关于分频方法的介绍-Divider based on vhdl design and application of NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:173809
    • 提供者:曾凡麟
  1. signal_output

    0下载:
  2. 本文件是可以直接使用下载到FPGA里面使用,里面包含时钟分频电路,串并转换和并串转换电路,多通道信号加权的乘加电路等。-The document may download to FPGA chip to complete the clock divider,serial-to-parallel,parallel-to-serial,and multiple-add circuit for multiple channels weight calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1159767
    • 提供者:蔡野锋
  1. dianziqin

    0下载:
  2. 这个程序是利用Quartus II编写的利用数控分频器设计硬件电子琴,主系统由3个模块组成,顶层设计文件内部有三个功能模块:SPEAKER.VHD 和TONE.VHD和NoteTabs.vhd。模块TONE是音阶发生器,模块SPEAKER中的主要电路是一个数控分频器,NOTETABS模块用于产生节拍控制和音阶选择信号。-This program is the use of Quartus II design prepared by the use of CNC divider hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:389199
    • 提供者:哈哈
« 1 2 3 4 5 67 8 9 10 11 ... 27 »
搜珍网 www.dssz.com